Cadence Design Systems, Inc. (stylized as cādence),[2] is an American multinational technology and computational software company.[3] Headquartered in San Jose, California,[2] Cadence was formed in 1988 through the merger of SDA Systems and ECAD.[3] Initially specialized in electronic design automation (EDA) software for the semiconductor industry,[4] currently the company makes software and hardware for designing products such as integrated circuits, systems on chips (SoCs), printed circuit boards,[3] and pharmaceutical drugs, also licensing intellectual property for the electronics, aerospace, defense and automotive industries, among others.[5]
Company type | Public |
---|---|
| |
Industry | Software |
Predecessors | Solomon Design Automation, ECAD |
Founded | 1983San Jose, California as Solomon Design Automation (SDA), renamed Cadence Design Systems in 1988 | in
Founders | James Solomon, Richard Newton, Alberto Sangiovanni-Vincentelli |
Headquarters | San Jose, California, U.S. |
Key people | Anirudh Devgan (President, CEO)> Lip-Bu Tan (Executive Chairman) |
Revenue | US$4.09 billion (2023) |
US$1.25 billion (2023) | |
US$1.04 billion (2023) | |
Total assets | US$5.67 billion (2023) |
Total equity | US$3.40 billion (2023) |
Number of employees | 11,200 (2023) |
Website | www |
Footnotes / references [1] |
Founded in 1983 in San Jose, California,[6] Cadence Design Systems began as an electronic design automation (EDA) company named Solomon Design Automation (SDA).[4] SDA's cofounder's included James Solomon, Richard Newton,[4] and Alberto Sangiovanni-Vincentelli.[4][7] Cadence was formed by the merger of SDA and ECAD. A public company,[4] ECAD had been co-founded by Ping Chao, Glen Antle, and Paul Huang in 1982.[4][failed verification] Cadence Design Systems was officially formed through SDA and ECAD's 1988 merger,[4] with Joseph Costello was appointed both CEO and president of the newly combined company. After the merger, Cadence began trading on the New York Stock Exchange and Costello oversaw further mergers and acquisitions.[4]
In 1989, the company acquired Gateway Design Automation for $72 million.[8] In 1990 it acquired Automated Systems Inc., and in doing so added "board design to its existing line of chip design software."[9] In 1991, Cadence acquired its rival Valid Logic Systems for around $200 million, its biggest acquisition yet. The revenues of the combined company were $390 million, making Cadence "the largest provider of the software used by electronic engineers to design computer chips and circuit boards," according to the New York Times.[4] In 1996, Cadence acquired High Level Design Systems,[10] at which point Cadence had 3,300 employees and $742 million in annual revenue. Following the resignation of Cadence's original CEO Joe Costello in 1997, Jack Harding was appointed CEO.[11] Ray Bingham was named CEO in 1999.[12] Cadence purchased Ambit Design Systems for $260 million, which made tools for system-on-a-chip technology, in 1998,[13] and OrCAD Systems in 1999.[14] After acquiring Quickturn Design in 1999, Cadence was described as a "white knight" for the act by the New York Times, as Quickturn had been subject to a hostile takeover by Cadence's rival Mentor Graphics.[15]
Under urging by executives such as Jim Hogan and executive vice president Penny Herscher, between 2001 and 2003, Cadence purchased a number of implementation tools through acquisition, such as Silicon Perspective, Verplex,[16] and Celestry Design.[17] The acquisitions were apparently in part to counter the 2001 purchase of Avanti by Synopsys, as Synopsys had become their primary market rival.[16] In 2004, Mike Fister became Cadence's new CEO and president, with Ray Bingham becoming chairman. The former chairman, Donald L. Lucas, remained on the Cadence board.[18] Between 2004 and 2007, Cadence purchased four companies, including the software developer Verisity, and in 2006, it spent $1 billion in stock buybacks.[6]
In 2007, Cadence announced it would be introducing a new chip-making process that laid wires diagonally as well as horizontally and vertically, arguing it would make its designs more efficient. In June 2007, Cadence had a market value of around $6.4 billion. That year, Cadence was rumored to be in talks with Kohlberg Kravis Roberts and Blackstone Group regarding a possible sale of the company.[6] Cadence withdrew a $1.6 billion offer to purchase Mentor Graphics in 2008.[19] Also that year, Cadence's board appointed Lip-Bu Tan as acting CEO, after the resignation of Mike Fister; Tan had served on the Cadence board of directors since 2004.[20] In January 2009, the board of directors of Cadence voted unanimously to confirm Lip-Bu Tan as president and CEO.[21] In 2011, it purchased Altos Design Automation.[22] Subsequent notable acquisitions included Cosmic Circuits[23] and Tensilica in 2013,[24] Forte Design Systems in 2014,[25] and the AWR Corporation in 2019.[26]
Cadence had 9,300 employees and annual revenue of $3 billion in 2021.[5] In April 2021, following a Washington Post report on the use of Cadence and Synopsys technology in the People's Liberation Army's military-civil fusion efforts,[27] U.S. legislators Michael McCaul and Tom Cotton requested that the United States Department of Commerce tighten controls on the sales of semiconductor manufacturing software.[28][29] On December 15, 2021, Anirudh Devgan assumed the role of Cadence president & CEO, after having been named Cadence president in 2017. Lip-Bu Tan retired as CEO and became executive chairman.[30] In 2021, Cadence launched an artificial intelligence platform to streamline processor development.[31]
Although most of Cadence's customers for decades were "traditional semiconductor firms," around 40% of Cadence's revenue by 2022 came from customers who were "systems" oriented, or seeking products tailored for various industries that utilized chips in a central role. Cadence was also increasingly designing customized chips for clients and having them manufactured by third parties such as Taiwan Semiconductor Manufacturing, a practice which had become more popular in the face of worldwide chip shortages and shipping issues, according to Reuters.[13] By late 2022, Cadence had clients such as Tesla and Apple Inc.[13] Cadence acquired OpenEye Scientific Software for $500 million in September 2022, rebranding the company OpenEye Cadence Molecular Sciences and making it into a business unit.[5] OpenEye signed Pfizer as a software client in October 2023.[32] Cadence purchased various businesses from Rambus in 2023.[33]
Originally known as a creator of electronic design automation (EDA) software,[13] the company currently develops software, hardware[34] and intellectual property (IP) used to design chips,[35] chiplet-style products,[36] and printed circuit boards,[9] while also selling hardware systems that run its chip design software.[34]
It also has tools for "electromagnetics, thermal and computational fluid dynamics in the high-tech electronics, aerospace and defense and automotive sectors,"[5] and according to Investor's Business Daily in 2023, it specializes in products for fields such as "artificial intelligence and machine learning, cloud computing, 3D technology, and AI-enabled big data analytics."[37] Among market applications are "hyperscale computing, 5G communications, automotive, mobile, aerospace, consumer, industrial and health care."[5]
The company develops a number of technologies for creating custom integrated circuits. For example, its Virtuoso Platform incorporates tools for designing full-custom integrated circuits.[38] In 2019, Cadenced introduced its Spectre X parallel circuit simulator, so that users could distribute time- and frequency-domain simulations across hundreds of CPUs for speed.[39] Cadence also developed AWR, a radio frequency to millimeter wave design environment for designing 5G/wireless products. AWR is used for communications, aerospace and defense, semiconductor, computer, and consumer electronics.[40][41]
Cadence has a number of digital implementation and signoff tools, including Genus, Innovus, Tempus & Voltus, among others. In 2020, Cadence integrated its Innovus place and route engine and optimizer into Genus Synthesis.[42] Stratus is Cadence's high-level synthesis tool, and is used to create RTL implementations from C, C++, or SystemC code.[43] Other formal verification and signoff tools include Conformal Equivalence Checker,[44] Joules RTL Power Solution,[45] Quantus Extraction Solution,[46] and Cadence's Modus DFT Software Solution.[47]
Cadence has developed a number of formal verification products for chip design. JasperGold is a formal verification tool, initially introduced in 2003[48] and upgraded with machine learning in 2019.[49] vManager is a verification management tool for tracking the verification process.[50][51] Cadence announced Perspec System Verifier in 2014 for defining and verifying system-level verification scenarios,[52] with Perspec made compatible with the Accellera Portable Test and Stimulus Standard (PSS) several years later.[53] Introduced in 2017, Cadence's parallel simulator Xcelium is based on a multi-core parallel computing architecture.[54]
In 2015, Cadence announced the Palladium Z1 hardware emulation platform,[55] with over 100 million gates per hour compile speed, and greater than 1 MHz execution for billion-gate designs.[56] which was based on emulation technology from Cadence's 1998 acquisition of Quickturn.[15] Cadence announced Palladium Z2 in 2021, claiming a 1.5X performance and 2X capacity improvement over the Z1.[57][58]
The Protium FPGA prototyping platform was introduced in 2014,[59] followed by the Protium S1 in 2017, which was built on Xilinx Virtex UltraScale FPGAs.[60] Protium X1 rack-based prototyping was introduced in 2019,[61] which Cadence claimed supported a 1.2 billion gate SoCs at around 5 MHz.[62] with Palladium S1/X1 and Protium sharing a single compilation flow.[63] IIn 2021, Protium X2 was announced; Cadence claimed a 1.5X performance and 2X capacity improvement over Protium X1.[64][65]
Cadence supplies intellectual property (IP) covering interface design, USB, MIPI, ethernet,[66] memory, analog, SoC peripherals, and data plane processing units. Cadence also develops chip verification technologies including simulators and formal verification tools.[citation needed] Cadence develops Tensilica DSP processors for audio, vision, wireless modems, and convolutional neural nets. Tensilica DSP processors IP in 2019[67] included: Tensilica Vision DSPs for imaging, vision, and AI processing;[68][69] Tensilica HiFi DSPs for audio processing;[70][71] Tensilica Fusion DSPs for IoT;[72] Tensilica ConnX DSPs for radar, lidar, and communications processing;[73][74] and Tensilica DNA Processor Family for AI acceleration.[75][76] In 2021, Cadence launched the Tensilica AI Platform to accelerate AI SoC development and improve performances.[77]
The company has a number of printed circuit board (PCB) and packaging technologies for designing circuit boards. Its Allegro Platform has tools for co-design of integrated circuits, packages, and PCBs. OrCAD/PSpice has tools for smaller design teams and individual PCB designers.[78] OrbitIO Interconnect Designer is a die/package planning & route optimization tool.[79] InspectAR uses augmented reality to map out complicated circuit board electronics for real-time labelling of board schematics.[80]
The company has a number of tools for system analysis. Sigrity has tools for signal, power integrity, and thermal integrity analysis and IC package design.[81] Introduced in April 2019 as part of Cadence's expansion into system analysis, Clarity is a 3D field solver for electromagnetic analysis, that uses distributed adaptive meshing to partition jobs across multiple cores.[82] In September 2019, Cadence announced Celsius, a parallel architecture thermal solver that uses finite element analysis for solid structures and computational fluid dynamics (CFD) for fluids.[83] Cascade Technologies, Inc includes hi-fidelity CFD solvers for multiphysics analysis of turbulence fluid flow.[84] Acquired by Cadence from Pointwise in 2021, Fidelity Pointwise is for computational fluid dynamics (CFD) mesh generation.[85]
Cadence in 2021 acquired a number of system analysis products from NUMECA,[86] known for software tools used in the automotive, marine, aerospace, and power generation industries.[87] Among the tools were Fidelity (formerly known as OMNIS), a computational fluid dynamics (CFD), mesh generation, multi-physics simulation, and optimization product.[86]
Cadence's OpenEye Scientific division has computational molecular modeling and simulation software used by pharmaceutical and biotechnology companies for purposes such as drug discovery.[5] The Orion is OpenEye's software-as-a-service platform.[88]
The company was increasingly incorporating artificial intelligence (AI) in 2023, according to Reuters, by "providing tools to design chips for AI" as well as by "adding AI into its own software to help in the complex process of designing chips."[34] Cerebrus was released in 2021, and is a machine learning-based chip which utilizes reinforcement learning and is meant to automatically optimize the Cadence digital design flow.[89][31] In 2022, Cadence introduced the AI platform Optimality Intelligent System Explorer, a system design tool with multiphysics system analysis software. Designed to be compatible with Clarity 3D and SigrityX, Microsoft was an early adopter.[90]
In 2016, Cadence CEO Lip-Bu Tan was awarded the Dr. Morris Chang Exemplary Leadership Award by the Global Semiconductor Alliance.[91] In 2019, Investor's Business Daily ranked Cadence Design Systems #5 on its 50 Best Environmental, Social, and Governance (ESG) Companies list.[92] In 2020, Cadence ranked #45 on People Magazine's Companies that Care list.[93] Fortune Magazine named Cadence to its 100 Best Companies to Work For list for the sixth consecutive year in 2020.[94]
In May 2022, the Formula 1 motor racing team McLaren announced a multi-year partnership deal with Cadence.[95] Cadence partnered with the San Francisco 49ers in April 2023 on a several year technology project to fix energy efficiencies at Levi's Stadium. The deal also gave Cadence the naming rights to the team's mobile app.[96]
Year announ- ced |
Company | Business | Value (USD) | Refe- rences |
---|---|---|---|---|
1989 | Gateway Design Automation | Simulation software | $72 million | [8] |
1990 | Automated Systems, Inc. | PCB Design Automation | $23 million | [97] |
1991 | Valid Logic Systems | Gate-level design | $198 million | [98][99] |
1993 | Comdisco Systems | Digital signal processing & communications design | $13 million | [100] |
1996 | High Level Design Systems Inc. | Advanced design technology for integrated circuits | $94 million | [10] |
1997 | Cooper & Chyan Technology UniCAD |
Placement and routing (Specctra AutoRouter) and UniCAD (PCB Design) | $422 million | [101][102][103] |
1998 | Ambit Design Systems | System-on-a-chip technology | $260 million | [13] |
1998 | Bell Labs Design Automation | Simulation and verification software | $45 million | [104] |
1998 | Quickturn Design Systems | Emulation hardware | $253 million | [105] |
1999 | OrCAD Systems | PCB & FPGA design | $121 million | [14] |
2002 | IBM's DFT tools & group | Design for testing | not disclosed | [106] |
2003 | Celestry Design | Dense modeling, full-chip circuit simulation | not disclosed | [17] |
2001- 2003 |
CadMOS Plato Get2Chip Silicon Perspective Simplex |
CadMOS (signal integrity), Plato (routing), Get2Chip (logic synthesis), Silicon Perspective Corp. (floor planning and placement), Simplex (extraction and power analysis) | multiple | [16] |
2003 | Verplex | Formal verification, equivalence checkers | not disclosed | [107] |
2004 | Neolinear | Analog & mixed-signal layout, circuit sizing | not disclosed | [108] |
2005 | Verisity | Verification automation, hardware acceleration | $315 million | [109] |
2006 | Praesagus | Manufacturing variation predication | $26 million | [110] |
2007 | Invarium | Lithography-modeling and pattern-synthesis | not disclosed | [111] |
2007 | Clear Shape | Design for Manufacturing | not disclosed | [112][113] |
2008 | Chip Estimate | IP portal, IP reuse management | not disclosed | [114] |
2010 | Denali Software | Memory models, design IP, verification IP | $315 million | [115] |
2011 | Altos Design Automation | Foundation IP characterization, such as memory, standard cell libraries | not disclosed | [22][116] |
2011 | Azuro | Clock concurrent optimization | not disclosed | [117] |
2012 | Sigrity | Signal, power & thermal integrity analysis, IC package design | $80 million | [118][81] |
2013 | Cosmic Circuits | Analog & mixed-signal IP for mobile device IP, such as USB, MIPI, audio & Wi-Fi cores | not disclosed | [23][119] |
2013 | Tensilica | Dataplane processing IP | $380 million | [24][120] |
2013 | Evatronix | Semiconductor IP: USB, MIPI, display, & storage interfaces | not disclosed | [121] |
2014 | Forte Design Systems | High-level synthesis | not disclosed | [25][122] |
2014 | Jasper Design Automation | Formal analysis & verification | $170 million | [123][124] |
2016 | Rocketick Technologies | Multi-core parallel simulator | not disclosed | [125] |
2017 | nusemi | High-speed Serializer/Deserializer (SerDes) communications IP | $182 million | [126] |
2019 | AWR Corporation | Wireless/high-frequency radio-frequency application design software | $160 million | [26] |
2020 | Integrand Software | Method of moments solver technology for analysis & extraction for simulating large IC and packages, characterization, and analysis in 3D-IC systems | not disclosed | [127][128] |
2020 | InspectAR Augmented Interfaces | Maps electronics & labels circuit board schematics in real-time using augmented reality | not disclosed | [129][130] |
2021 | NUMECA | CFD, mesh generation, multi-physics simulation & optimization | not disclosed | [86] |
2021 | Pointwise | Computational fluid dynamics (CFD) mesh generation | not disclosed | [85] |
2022 | Future Facilities | CFD solution provider for electronics cooling and energy performance optimization solutions for data center design and operations | not disclosed | [131] |
2022 | OpenEye Scientific | Computational molecular modeling and simulation software used by pharmaceutical and biotechnology companies for drug discovery | $500 million | [5] |
2023 | Rambus | Completion of acquisition of SerDes and memory interface PHY IP business from Rambus Inc. | not disclosed | [33] |
2023 | Intrinsix Corporation | Semiconductor design services provider | not disclosed | [132] |
2024 | Invecas Inc | Design engineering, embedded software and system-level solutions provider | not disclosed | [133] |
2024 | BETA CAE | Simulation and analysis software | $1.24 billion | [134] |