ASM International

Summary

ASM (previously known as ASM International N.V., originally standing for Advanced Semiconductor Materials) is a Dutch headquartered multinational corporation that specializes in the design, manufacturing, sales and service of semiconductor wafer processing equipment for the fabrication of semiconductor devices. ASM's products are used by semiconductor manufacturers in front-end wafer processing in their semiconductor fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion.[1]

ASM
Company typeNaamloze vennootschap
Euronext Amsterdam: ASM
AEX component
ISINNL0000334118
IndustrySemiconductor industry
Founded1968 Edit this on Wikidata
FounderArthur del Prado
Headquarters,
Key people
Benjamin Loh (CEO), Paul Verhagen ( CFO), Pauline van der Meer Mohr (Chairwoman of the supervisory board)
ProductsEquipment for semiconductor fabrication
Revenue€1.7 billion (2021)[1]
€597.2 million (2021)[1]
€494.7 million (2021)[1]
Total assets€2.7 billion (end 2021)[1]
Total equity€2.2 billion (end 2021)[1]
Number of employees
4200 (end 2023)
SubsidiariesASM Pacific Holding B.V. (minority shareholder of ASM Pacific Technology)[1]: 14 
Websitewww.asm.com

The company was founded by Arthur del Prado (1931-2016) as 'Advanced Semiconductor Materials' in 1964.[2] From 2008 until 2020, son of Arthur del Prado, Chuck del Prado was CEO. ASM pioneered important aspects of many established wafer-processing technologies used in industry, including lithography, deposition, ion implantation, single-wafer epitaxy, and in recent years atomic layer deposition. Semiconductor equipment companies ASML, ASM Pacific Technology (ASMPT) and Besi are former divisions of ASM.[2][3]

ASM headquarters is located in Almere, the Netherlands. The company has R&D sites in Almere (the Netherlands), Helsinki (Finland), Leuven (Belgium, near IMEC), Phoenix (Arizona), Tama (Japan), and Dongtan (South Korea). Manufacturing primarily occurs in Singapore and Dongtan (South-Korea). ASM also has sales & service offices across the globe, including United States, South Korea, China, Taiwan, Japan, Singapore and Israel. As of 2021, it has 3,312 staff, located in 14 countries.[1]

The shares of the company are listed on the Euronext Amsterdam. In March 2020, ASM was promoted to the AEX index.[4] ASM has a minority stake in ASM Pacific Technology, a Hong Kong-based company active in semiconductor assembly, packaging and surface-mount technology.

Technology edit

To create a semiconductor chip, many individual steps are performed using various types of wafer processing equipment, including photolithographic patterning, depositing thin layers, etching to remove material, thermal treatments, and other steps. ASM's systems are designed for deposition processes, when thin films, or layers, of various materials are grown or deposited onto the wafer. Many different thin-film layers are deposited to complete the full sequence of process steps necessary to manufacture a chip.

ASM's technology development is driven by its customers' goal to build faster, cheaper, and more powerful semiconductor chips with reduced energy consumption. This goal drives the need to shrink the dimensions of components on the chip, targeting to double the number of components per unit area on a chip every two years (Moore's law). As part of this scaling of dimensions, ASM supplies its customers – chip manufacturers – with machines that deposit ever thinner films of semiconductor materials. ASM also develops deposition processes for new materials to be used in semiconductor fabrication.

During the past 15 years, an increasing array of new materials has been introduced in the fabrication of chips.[5] These new materials were required to achieve the necessary performance improvements of chips, as outlined by Moore's Law. For instance, in 2007 in a MOSFET transistor, the silicon oxidegate dielectric was replaced with a high-κ, a material that has a higher electrical resistance than silicon oxide. In this particular case, ASM pioneered the chemical process and the new deposition method called atomic layer deposition during nearly a decade of R&D.[6][7] In addition, increasingly precise deposition methods are required as components on a chip such as transistors moved from planar to 3D structures, like FinFETs in the past decade.[8] ASM has a leading position in single wafer atomic layer deposition (ALD). [7]

Research edit

ASM offers a number of methods and accompanying machines to deposit these thin films of materials. The company tries to expand the applicability of its deposition technologies and machines as much as possible.[9]R&D is critical in that effort. In 2021, the company spent 151 million euro on R&D (or 9% of its annual revenues).[1] R&D activities stretch from basic research of new materials to the application of new materials in chip manufacturing.

Products edit

ASM designs and sells both single-wafer deposition tools, in which the process is performed one wafer at a time, as well as so-called batch tools, in which the deposition is performed on multiple wafers at a time. The prices of the company's systems varies, but typically are multiple of million euros per system. The products of ASM can be categorized by deposition method:

Atomic Layer Deposition is a layer-by-layer process that results in the deposition of thin films one atomic layer at a time in a highly controlled manner. Layers are formed during reaction cycles by alternately pulsing precursors and reactants and purging with inert gas in between each pulse. ASM offers single wafer ALD tools in two technology segments: thermal ALD and plasma enhanced ALD (PEALD). ASM's ALD tools include Synergis, Pulsar and EmerALD. PEALD tools include Eagle XP8 and the XP8 QCM.[1]

Epitaxy is a process that is used for depositing precisely controlled crystalline silicon-based layers that are important for semiconductor device electrical properties. The silicon epitaxy process can be used to modify the electrical characteristics of the wafer surface to create high-performance transistors during the manufacturing of semiconductor chips. ASM's epitaxy tools are single wafer tools and include Intrepid and Epsilon.[1]

Chemical Vapor Deposition is a chemical deposition process in which the wafer is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired film. Within Chemical Vapor Deposition (CVD) ASM offers two types of tools: single-wafer plasma enhanced CVD (PECVD) and batch low pressure CVD (LPCVD). ASM provides single-wafer PECVD processes on the Dragon XP8 tool. ASM provides batch LPCVD/diffusion processes on the vertical furnace A400 DUO and novel Sonora tools. [1]

History edit

1960s: In 1964, Arthur del Prado [nl] founds ASM as 'Advanced Semiconductor Materials' in Bilthoven, the Netherlands.[10] Initially the company operates as a sales agent in semiconductor fabrication technology in Europe. In 1968, the company was formally listed as a private limited company.

1970s: ASM starts to design, manufacture and sell chemical vapor deposition equipment.[9] In 1974 it acquires Fico Toolings, a Dutch manufacturer of semiconductor molds. A Hong Kong sales office ASM Asia, now known and traded as ASM Pacific Technology, is established in 1975. ASM America is founded in Phoenix, Arizona, in 1976. Sale of ASM's horizontal plasma-enhanced chemical vapor deposition furnaces drive the company's growth.

1980s: Following an initial public offering on the Nasdaq in May 1981, the company expands. In 1982 ASM Japan is established.[2] ASM invests in new semiconductor fabrication technologies, like lithography, ion implantation, epitaxy, and wire bonding. In 1988, the company divests ASML Holding N.V., ASM Ion Implant, and it lists its Hong Kong based activities as ASM Pacific Technology on the Hong Kong stock exchange in 1989.

1990s: The company reorganizes thoroughly between 1991 and 1994.[3] In 1993, ASM divests ASM Fico to Berliner Electro Holding, now known as Besi. ASM focusses on vertical low-pressure chemical vapor deposition furnaces by ASM Europe, single wafer plasma-enhanced chemical vapor deposition by ASM Japan and single wafer epitaxy by ASM America. From 1996 onwards, the company is also listed on the Euronext, Amsterdam.ASM retains a majority stake in ASM Pacific Technology.

2000s: ASM expands again with investments in 300-mm wafer technology and atomic layer deposition. In 2007, the company successfully brings atomic layer deposition from R&D to high-volume production via the high-κ metal gate application.[7] At the same time, hedge funds question the company's stake in ASM Pacific Technology.[11] In 2008 Arthur del Prado is succeeded as CEO by his son, Chuck del Prado.[10] In 2009 headquarters move from Bilthoven to Almere, the Netherlands.

2010s: The company returns to structural profitability after execution of a worldwide restructuring program, that includes the implementation of a product driven organization, a single global sales organization, consolidation of manufacturing in Singapore, and the establishment of a global human resources, finance, IT, operational excellence and environment, health and safety organization. The application of (plasma enhanced) atomic layer deposition in multiple patterning and high-κ metal gate drives ASM's growth.[6][7] Other products include epitaxy, PECVD and vertical furnace. Its stake in ASM Pacific Technology is reduced to 25%.

2020s: In 2020, on the Euronext, the company is included on the AEX index. which includes the top-25 of companies listed on the Euronext Amsterdam stock exchange.[4] The same year, after 12 years as CEO, Chuck del Prado decided to step down, and was succeeded by Benjamin Loh. Between 2020 and 2022, ASM renewed its vertical furnace product line with A400DUO (200mm wafers) and Sonora (300mm wafers).

Finances edit

Revenues edit

ASM sells its equipment to semiconductor manufacturers worldwide, with the majority of its revenues from Asian customers. In 2021, 1.41 billion euro of the total 1.73 billion euro in revenues was generated through equipment sales, the rest came from spares and service.

Year Revenue Profit/loss
2013   €452 million   €106 million
2014   €602 million   €141 million
2015   €670 million   €157 million
2016   €598 million   €135 million
2017   €737 million   €452 million
2018   €818 million   €157 million
2019   €1.28 billion   €329 million
2020   €1.33 billion   €285.4 million
2021   €1.73 billion   €494.7 million

Market capitalization edit

Shares of ASM are traded on the Euronext stock exchange since 1996. Since March 2020, ASM is included on the AEX index.[4] The market capitalization of ASM Pacific Technology is no longer consolidated after ASM's interest in ASM Pacific Technology decreased to 25 percent in 2013. Between 1981 and 2015 ASM was also listed on the Nasdaq.

In 2018 share price averaged at € 48.62 resulting in an average market capitalization of 2.53 billion euro. In 2019 average closing price was € 68.98, resulting in an average market capitalization of 3.38 billion euro.[12] Market capitalization at year-end 2021 was 18.88 billion euro, based on the closing share price of €388.70 on Euronext Amsterdam on December 31, 2021.

References edit

  1. ^ a b c d e f g h i j k l "Annual Report 2021" (PDF). Annreports.com. Retrieved 31 Jan 2023.
  2. ^ a b c "A Tribute to Arthur del Prado - Father of Europe's Equipment industry". vlsiresearch.com. Retrieved 5 June 2020.
  3. ^ a b "Chapter 24 – The only way is up, 1994-1996" (PDF). techwatchbooks.nl. Retrieved 5 June 2020.
  4. ^ a b c "Just Eat Takeaway back in the AEX index". mbs.news. Archived from the original on 18 June 2020. Retrieved 5 June 2020.
  5. ^ "In the electronics industry, materials take center stage". C&EN. Retrieved 8 July 2020.
  6. ^ a b "When persistence pays off: why ASMI is doing so well". bits-chips.nl. Retrieved 5 June 2020.
  7. ^ a b c d "How ASMI became the key supplier of semicon ALD equipment". bits-chips.nl. Retrieved 5 June 2020.
  8. ^ "The Nanosheet Transistor Is the Next (and Maybe Last) Step in Moore's Law". IEEE Spectrum. Retrieved 8 July 2020.
  9. ^ a b Duijn, Jorijn van (15 December 2019). "Fortunes of High Tech". spiedigitallibrary.org. PM320: 1–955. doi:10.1117/3.2572061.sup. S2CID 218880163. Retrieved 5 June 2020.
  10. ^ a b "Chuck del Prado: Mission accomplished". bits-chips.nl. Retrieved 5 June 2020.
  11. ^ Melvin, Tim (April 29, 2008). "Activists Shaking Up Boardrooms Once Again". TheStreet. Archived from the original on May 22, 2011 – via Yahoo! Finance.
  12. ^ Annual Report 2019 (Report). Netherlands Authority for the Financial Markets. Retrieved 28 May 2020.

External links edit

  • Official website