Carbon nanotubes in interconnects

Summary

(Learn how and when to remove this template message)

In nanotechnology, carbon nanotube interconnects refer to the proposed use of carbon nanotubes in the interconnects between the elements of an integrated circuit. Carbon nanotubes (CNTs) can be thought of as single atomic layer graphite sheets rolled up to form seamless cylinders. Depending on the direction on which they are rolled, CNTs can be semiconducting or metallic. Metallic carbon nanotubes have been identified[1] as a possible interconnect material for the future technology generations and to replace copper interconnects. Electron transport can go over long nanotube lengths, 1 μm, enabling CNTs to carry very high currents (i.e. up to a current density of 109 Acm−2) with essentially no heating due to nearly one dimensional electronic structure.[2] Despite the current saturation in CNTs at high fields,[2] the mitigation of such effects is possible due to encapsulated nanowires.[3]

Carbon nanotubes for interconnects application in Integrated chips have been studied since 2001,[4] however the extremely attractive performances of individual tubes are difficult to reach when they are assembled in large bundles necessary to make real via or lines in integrated chips. Two proposed approaches to overcome the to date limitations are either to make very tiny local connections that will be needed in future advanced chips or to make carbon metal composite structure that will be compatible with existing microelectronic processes.

Hybrid interconnects that employ CNT vias in tandem with copper interconnects may offer advantages in reliability and thermal-management.[5] In 2016, the European Union has funded a four million euro project over three years to evaluate manufacturability and performance of composite interconnects employing both CNT and copper interconnects. The project named CONNECT (CarbON Nanotube compositE InterconneCTs)[6] involves the joint efforts of seven European research and industry partners on fabrication techniques and processes to enable reliable carbon nanotubes for on-chip interconnects in ULSI microchip production.

Local interconnects edit

While smaller dimensions mean better performance for transistors thanks to the decrease of intrinsic transistor gate delay, the situation is quite the opposite for interconnects. Smaller cross-section areas of interconnect would only lead to performance degradation such as increased interconnect resistance and power consumption. Since the 1990s the circuit performance is no longer limited by the transistors, thus interconnects have become a key issue and are as important as the transistors in determining chip performance. As technology scaling continues, the problem of interconnect performance degradation will only become more significant. Local interconnects that are on the lower levels of the interconnect stack connecting nearby logic gates are aggressively scaled down at each generation to follow the miniaturization of transistors and thus are mostly susceptible to performance degradation. On the local level where interconnects are most densely packed, and have pitch sizes close to the minimum feature size, we will need new interconnect materials that suffer much less from sizing effects than copper.

Thanks to the measured properties of individual carbon nanotubes (CNTs), such material has been proposed as future material for interconnects.[1] Particularly their current carrying capabilities are extremely high [4] typically around 109 Acm−2 and they exhibit a ballistic length up to micrometers.[2] However, due to the strong electron-phonon interaction in single-walled CNTs, it has been discovered that electronic current undergoes saturation at the voltage bias beyond 0.2 V.[2][3]

Nevertheless, CNTs with few nm in diameter are extremely robust compared with metallic nanowires of similar diameter and demonstrate conducting properties superior as compared with copper. To make a connection, CNTs have to be paralleled in order to lower the resistance.

The resistance R of one single-walled carbon nanotubes can be expressed by

 

Where   is an extrinsic contact resistance,   is the quantum resistance (6.5 kΩ) which comes from the connection of one dimensional material to a three dimensional metal,   is the CNT length and   is the mean free path of the electron. If N tubes are paralleled, this resistance is divided by N thus one of the technological challenge is to maximize N in a given area. If L is small as compared with Lmfp, which normally is the case for very small vias, the technological parameters to optimize are primarily the contact resistance and the tube density.

Initial works have been focused on CNT vias connecting two metallic lines. Low temperature (400 °C) chemical vapor deposition growth of CNT on titanium nitride catalysed by cobalt particles has been optimized by the Fujitsu group. The catalyst particles obtained by laser ablation of a cobalt target sorted by size ultimately allow to grow a CNT density around 1012 CNT cm−2 using a multistep process using plasma and catalyst particles around 4 nm. In spite of these efforts, the electrical resistance of such via is 34 Ω _for a 160 nm diameter. Performances are close to tungsten plugs thus at least one order of magnitude higher than copper. For 60 nm via, a ballistic length of 80 nm has been determined. For processing lines, CNT technology is more difficult because dense forests of CNTs naturally grow perpendicularly to the substrate, where they are known as vertically aligned carbon nanotube arrays. Only few reports on horizontal lines have been published and rely on the redirection of CNT,[7][8] or the filling in existing trenches by fluidic assembly processes.[9] The achieved performances are around 1 mΩcm, which is two decades higher than the requested values.

The reasons for such discrepancy between theoretical expectations and achieved performances are multiple. One obvious reason is the packing density after integration, which is far from the requested values, and the one used in the theoretical prediction. Indeed, even with the CNTs, which are strongly densified and spun, low conductance remains a problem. However, a recent paper [10] shows that a one-decade improvement on the conductivity may be gained just by high-pressure densification of the CNT. In spite of the development of high-density CNT material [11] the state of the art of integrated lines is still far from the 1013 cm−2 conducting walls requested by the International Technology Roadmap for Semiconductors.[12] Nevertheless, macroscopic assemblies with diameters of tens of microns consisting of double-walled CNTs [13] or single-walled carbon nanotubes [14] have experimental resistivity performances of 15 μΩcm after doping, demonstrating the potential of CNTs for interconnects.

Global interconnects edit

For current metallization technologies for high-performance and low-power microelectronics, copper is the material of choice due to its higher electromigration (EM) stability (resulting from the higher melting point) and conductivity to aluminium. For downscaled logic and memory applications up to 14 nm node the increased current density and reliability requirements per interconnect line still have known material and integration solutions. Thinner barrier and adhesion layers, doping of secondary metals to enhance grain boundary electromigration resistance, and integration concepts of selective cappings will be some of the adopted solutions. However, for dimensions below 7 to 10 nm nodes, the decreased volume of available conducting metal will force innovative material and integration approaches towards novel interconnect architectures. Also for power and high-performance applications the most critical challenges are high ampacity, thermal conductivity and electromigration resistance. Far away from bulk, copper conductors that would already melt at 104 A/cm2, current copper metallization lines can withstand 107 A/cm2 due to good heat dissipation into thermal contact to the surrounding material, optimized liner and capping as well as plating and CMP processes.

The reliability of state of the art interconnects is closely linked to electromigration . This adverse effect describes the material transport and consequently void formation especially in thin metal lines to the anode by a combination of the electron wind force, the temperature gradient induced force, the stress gradient induced force and the surface tension force. Depending on the design of the interconnect layout and the used metallization scheme, the dominance of each driving force can change. Even at the current scaling node of CMOS technology, these two issues are among the main reasons for the trend that the increased density scaling of transistors no longer automatically leads to "performance scaling" (i. e. increased performance per transistor).

CNTs are being studied as a potential copper replacement owing to their excellent electrical properties in terms of conductivity, ampacity and high frequency characteristics. However, the performances of CNTs integrated into functional devices are so far systematically much lower than those of nearly perfect CNTs selected for fundamental studies worldwide. As a consequence, combinations of CNTs with copper were envisioned soon after the pioneering study about CNT interconnects.[15] Initial experimental realizations focused on a "bulk" approach where a mixture of CNTs and copper is deposited from a solution on the target substrate.[16][17][18] This approach demonstrated mitigated performances for interconnect, such that focus is now almost exclusively on composite materials where the CNTs are aligned with respect to the current flow (referred to as aligned CNT-copper composite). Furthermore, contact resistance, mechanical stability, planarity and integration could be improved by a supporting conductive matrix. Chai et al.[19][20][21] first demonstrated the fabrication of vertical interconnects using aligned CNT-copper composite materials in 2007 by first growing vertically aligned CNTs before filling the voids between CNTs with copper through an electroplating method. It was shown that this material could reach low, copper-like, resistivity but was more resistant to electromigration than copper. More recently, a renewed interest for this material was generated by the work of Hata group [22] claiming a 100 fold increase in current carrying capacity of aligned CNT-copper material compared to pure copper. Several groups are now working worldwide on the integration of aligned CNT-copper composite materials in interconnect structures,[23][24][25][26] Present and near-future efforts are focusing on demonstrating and evaluating the performances of aligned CNT-copper composite materials for both vertical and horizontal interconnects, and to develop a CMOS-compatible process flow for multilevel global interconnects.[6]

Physical and electrical characterization edit

Electromigration is typically characterized through the time of failure of a current carrying device.[8] The scaling of the effect with current and temperature is used for accelerated testing and predictive analysis. Despite the great technological relevance of such measurements, there exists no widely used protocol to characterize electromigration. However, certain approaches are somewhat established, such as the variation of current and temperature. One of the unresolved challenges of electromigration are self-amplification effects of electromigration through self-heating at defects in interconnect leads.[27] The local temperature rise due to current crowding across such defects is typically unknown. Since the underlying processes are typically thermally activated, the lack of precise knowledge of the local temperature makes the field of electromigration studies challenging, resulting in a lack of reproducibility and inter-comparability of different experimental approaches. A combination with in-situ temperature measurement is therefore desirable. There are numerous methods for thermometry and the measurement of thermal conductance of devices and structures on a length scale of microns to macroscopic. However, the quantitative thermal characterization of nanostructures is described as an unsolved challenge in the current scientific literature.[28][29] Several methods have been proposed using Raman spectroscopy, electron energy loss spectroscopy, infrared microscopy, self-heating methods and scanning thermal microscopy. However, on the length scale relevant to single CNTs and their defects, i. e. the 1 nm-scale, no established solution exists applicable to CNT-based materials (our interconnects) and dielectrics (our insulators and matrix materials). Scanning thermal microscopy and thermometry [30] is the most promising technique for its versatility, but restrictions in tip fabrication, operation modes and signal sensitivity have limited the resolutions to 10 nm in the most cases. To increase the resolution of such technique is an open challenge which is attracting lot of attention from the industry and scientific community.[6]

The methodology of electrical transport measurements in single CNTs, bundles and composites thereof is well established. To study finite-size effects in transport such as the transition from diffusive to ballistic transport requires the precise placement and addressing of nanoscale electrodes, typically fabricated using electron beam lithography.

Structural characterization of CNTs using transmission electron microscopy has been shown to be a useful method for structures identification and measures. Results have been reported with resolutions down to about 1 nm and very good material contact.[31] Due to the experimental difficulties of contacting nano-objects inside an electron microscope, there have only been few attempts to combine transmission electron microscopy structural characterization with in-situ electrical transport measurements.[32][33][6]

Modelling and simulation edit

Macroscopic edit

From a macroscopic point of view, a generalized compact RLC model for CNT interconnects can be depicted as in,[34] where the model of an individual multi-wall carbon nanotube is shown with parasitics representing both dc conductance and high-frequency impedance i.e. inductance and capacitance effects. Multiple shells of a multi-wall carbon nanotube are presented by the individual parasitics of each shell. Such model can also be applicable to single-walled carbon nanotubes where only a single shell is represented.

The shell resistance of an individual nanotube can be obtained by computing the resistance of each shell as

 

where   is the ballistic resistance,   is contact resistance,   is the distributed ohmic resistance and   is the resistance due to the applied bias voltage. Capacitance of nanotubes consists of quantum, Cq and electrostatic capacitance Ce. For multi-wall carbon nanotubes, there is the shell-to-shell coupling capacitance, Cc. Additionally there is a coupling capacitance, Ccm between any two CNT bundles. As for inductance, CNTs have both kinetic, Lk and magnetic inductance, Lm. There are also mutual inductances between shells, Mm and bundles, Mmm.

Detailed simulation for signal interconnects have been performed by Naeemi et al.,[35][36][37] and it has been shown that CNTs have lower parasitics than copper metal lines, however, the contact resistance between CNT-to-CNT and CNT-to-metal is large and can be detrimental for timing issues. Simulation of power delivery interconnects performed by Todri-Sanial et al.[38] have shown that CNTs overall lead to reduced voltage drop compared with copper interconnects.

The significant dependence of the current density between the CNTs on the geometry between them has been proved by Tsagarakis and Xanthakis.[39]

Mesoscopic edit

The macroscopic circuit simulation addresses just the interconnect performance neglecting other important aspects like reliability and variability of CNTs, which can be properly treated only at mesoscopic level by means of fully three dimensional Technology Computer Aided Design modelling approaches.[40] Recently, industrial and scientific community are investing considerable efforts to investigate the modelling of CNT variability and reliability by means of three dimensional Technology Computer Aided Design approaches for advanced technological generations.[6]

Microscopic edit

Underneath the macroscopic (Circuit Level) and mesoscopic (Technology Computer Aided Design level) modelling of CNT interconnects, it is also important to consider the microscopic (Ab Initio level) modelling. Significant work has been carried out on the electronic,[41][42][43][44] and thermal,[45][46] modeling of CNTs. Band structure and molecular level simulation tools can be also found on nanoHUB. Further potential modeling improvements include the self-consistent simulation of the interaction between electronic and thermal transport in CNTs, but also in copper-CNT composite lines and CNT contacts with metals and other relevant materials.

The CNTs with encapsulated nanowires have been studied at the ab initio level with self-consistent treatment of electronic and phonon transport and demonstrated to improve current-voltage performance.[3]

A fully experimentally-calibrated electrothermal modelling tool would prove useful in studying, not only the performance of CNT and composite lines, but also their reliability and variability, and the impact of the contacts on the electronic and thermal performance.[6] In this context, a full three dimensional physics-based and multi-scale (from ab-initio material simulation up to circuit simulation) simulation package that takes into account all aspects of VLSI interconnects (performance, power dissipation and reliability) is desirable to enable accurate evaluation of future CNT-based technologies.

See also edit

References edit

  1. ^ a b Kreupl, F; Graham, A.P; Duesberg, G.S; Steinhögl, W; Liebau, M; Unger, E; Hönlein, W (2002). "Carbon nanotubes in interconnect applications". Microelectronic Engineering. 64 (1–4). Elsevier BV: 399–408. arXiv:cond-mat/0412537. doi:10.1016/s0167-9317(02)00814-6. ISSN 0167-9317.
  2. ^ a b c d Park, Ji-Yong; Rosenblatt, Sami; Yaish, Yuval; Sazonova, Vera; Üstünel, Hande; Braig, Stephan; Arias, T. A.; Brouwer, Piet W.; McEuen, Paul L. (2004). "Electron−Phonon Scattering in Metallic Single-Walled Carbon Nanotubes". Nano Letters. 4 (3). American Chemical Society (ACS): 517–520. arXiv:cond-mat/0309641. Bibcode:2004NanoL...4..517P. doi:10.1021/nl035258c. ISSN 1530-6984. S2CID 32640167.
  3. ^ a b c Vasylenko, Andrij; Wynn, Jamie; Medeiros, Paulo V. C.; Morris, Andrew J.; Sloan, Jeremy; Quigley, David (2017-03-27). "Encapsulated nanowires: Boosting electronic transport in carbon nanotubes". Physical Review B. 95 (12): 121408. arXiv:1611.04867. Bibcode:2017PhRvB..95l1408V. doi:10.1103/PhysRevB.95.121408. S2CID 59023024.
  4. ^ a b Wei, B. Q.; Vajtai, R.; Ajayan, P. M. (20 August 2001). "Reliability and current carrying capacity of carbon nanotubes". Applied Physics Letters. 79 (8). AIP Publishing: 1172–1174. Bibcode:2001ApPhL..79.1172W. doi:10.1063/1.1396632. ISSN 0003-6951.
  5. ^ Chai, Yang; Chan, Philip C. H. (2008). "High electromigration-resistant copper/carbon nanotube composite for interconnect application". 2008 IEEE International Electron Devices Meeting. IEEE. pp. 1–4. doi:10.1109/iedm.2008.4796764. ISBN 978-1-4244-2377-4.
  6. ^ a b c d e f "CORDIS | European Commission".
  7. ^ Tawfick, S.; O'Brien, K.; Hart, A. J. (2 November 2009). "Flexible High-Conductivity Carbon-Nanotube Interconnects Made by Rolling and Printing". Small. 5 (21). Wiley: 2467–2473. doi:10.1002/smll.200900741. hdl:2027.42/64295. ISSN 1613-6810. PMID 19685444.
  8. ^ a b Li, Hong; Liu, Wei; Cassell, Alan M.; Kreupl, Franz; Banerjee, Kaustav (2013). "Low-Resistivity Long-Length Horizontal Carbon Nanotube Bundles for Interconnect Applications—Part II: Characterization". IEEE Transactions on Electron Devices. 60 (9). Institute of Electrical and Electronics Engineers (IEEE): 2870–2876. Bibcode:2013ITED...60.2870L. doi:10.1109/ted.2013.2275258. ISSN 0018-9383. S2CID 18083578.
  9. ^ Kim, Young Lae; Li, Bo; An, Xiaohong; Hahm, Myung Gwan; Chen, Li; Washington, Morris; Ajayan, P. M.; Nayak, Saroj K.; Busnaina, Ahmed; Kar, Swastik; Jung, Yung Joon (2 September 2009). "Highly Aligned Scalable Platinum-Decorated Single-Wall Carbon Nanotube Arrays for Nanoscale Electrical Interconnects". ACS Nano. 3 (9). American Chemical Society (ACS): 2818–2826. doi:10.1021/nn9007753. ISSN 1936-0851. PMID 19725514.
  10. ^ Wang, J. N.; Luo, X. G.; Wu, T.; Chen, Y. (25 June 2014). "High-strength carbon nanotube fibre-like ribbon with high ductility and high electrical conductivity". Nature Communications. 5 (1). Springer Science and Business Media LLC: 3848. Bibcode:2014NatCo...5.3848W. doi:10.1038/ncomms4848. ISSN 2041-1723. PMID 24964266.
  11. ^ Zhong, Guofang; Warner, Jamie H.; Fouquet, Martin; Robertson, Alex W.; Chen, Bingan; Robertson, John (28 March 2012). "Growth of Ultrahigh Density Single-Walled Carbon Nanotube Forests by Improved Catalyst Design". ACS Nano. 6 (4). American Chemical Society (ACS): 2893–2903. doi:10.1021/nn203035x. ISSN 1936-0851. PMID 22439978.
  12. ^ "ITRS Reports".
  13. ^ Zhao, Yao; Wei, Jinquan; Vajtai, Robert; Ajayan, Pulickel M.; Barrera, Enrique V. (6 September 2011). "Iodine doped carbon nanotube cables exceeding specific electrical conductivity of metals". Scientific Reports. 1 (1). Springer Science and Business Media LLC: 83. Bibcode:2011NatSR...1E..83Z. doi:10.1038/srep00083. ISSN 2045-2322. PMC 3216570. PMID 22355602.
  14. ^ Behabtu, N.; Young, C. C.; Tsentalovich, D. E.; Kleinerman, O.; Wang, X.; Ma, A. W. K.; Bengio, E. A.; ter Waarbeek, R. F.; de Jong, J. J.; Hoogerwerf, R. E.; Fairchild, S. B.; Ferguson, J. B.; Maruyama, B.; Kono, J.; Talmon, Y.; Cohen, Y.; Otto, M. J.; Pasquali, M. (10 January 2013). "Strong, Light, Multifunctional Fibers of Carbon Nanotubes with Ultrahigh Conductivity". Science. 339 (6116). American Association for the Advancement of Science (AAAS): 182–186. Bibcode:2013Sci...339..182B. doi:10.1126/science.1228061. hdl:1911/70792. ISSN 0036-8075. PMID 23307737. S2CID 10843825.
  15. ^ Intel US patent 7,300,860 (filed 2004); IBM US patents 7,473,633 & 7,439,081 (filed 2006)
  16. ^ Liu, Ping; Xu, Dong; Li, Zijiong; Zhao, Bo; Kong, Eric Siu-Wai; Zhang, Yafei (2008). "Fabrication of CNTs/Cu composite thin films for interconnects application". Microelectronic Engineering. 85 (10). Elsevier BV: 1984–1987. doi:10.1016/j.mee.2008.04.046. ISSN 0167-9317.
  17. ^ Jung Joon Yoo; Jae Yong Song; Jin Yu; Ho Ki Lyeo; Sungjun Lee; Jun Hee Hahn (2008). Multi-walled carbon nanotube/nanocrystalline copper nanocomposite film as an interconnect material. 2008 58th Electronic Components and Technology Conference. p. 1282. doi:10.1109/ECTC.2008.4550140.
  18. ^ Aryasomayajula, Lavanya; Rieske, Ralf; Wolter, Klaus-Juergen (2011). Application of copper-Carbon Nanotubes composite in packaging interconnects. International Spring Seminar on Electronics Technology. IEEE. p. 531. doi:10.1109/isse.2011.6053943. ISBN 978-1-4577-2111-3.
  19. ^ Chai, Yang; Zhang, Kai; Zhang, Min; Chan, Philip C. H.; Yuen, Matthrew M. F. (2007). Carbon Nanotube/Copper Composites for Via Filling and Thermal Management. Electronic Components and Technology Conference. IEEE. p. 1224. doi:10.1109/ectc.2007.373950. ISBN 978-1-4244-0984-6.
  20. ^ Chai, Yang; Chan, Philip C. H. (2008). High electromigration-resistant copper/carbon nanotube composite for interconnect application. International Electron Devices Meeting. IEEE. p. 607. doi:10.1109/iedm.2008.4796764. ISBN 978-1-4244-2377-4.
  21. ^ Yang Chai; Philip C. H. Chan; Yunyi Fu; Y. C. Chuang; C. Y. Liu (2008). Copper/carbon nanotube composite interconnect for enhanced electromigration resistance. Electronic Components and Technology Conference. IEEE. p. 412. doi:10.1109/ECTC.2008.4550004.
  22. ^ Subramaniam, Chandramouli; Yamada, Takeo; Kobashi, Kazufumi; Sekiguchi, Atsuko; Futaba, Don N.; Yumura, Motoo; Hata, Kenji (23 July 2013). "One hundred fold increase in current carrying capacity in a carbon nanotube–copper composite". Nature Communications. 4 (1). Springer Science and Business Media LLC: 2202. Bibcode:2013NatCo...4.2202S. doi:10.1038/ncomms3202. ISSN 2041-1723. PMC 3759037. PMID 23877359.
  23. ^ Melzer, Marcel; Waechtler, Thomas; Müller, Steve; Fiedler, Holger; Hermann, Sascha; Rodriguez, Raul D.; Villabona, Alexander; Sendzik, Andrea; Mothes, Robert; Schulz, Stefan E.; Zahn, Dietrich R.T.; Hietschold, Michael; Lang, Heinrich; Gessner, Thomas (2013). "Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications". Microelectronic Engineering. 107. Elsevier BV: 223–228. doi:10.1016/j.mee.2012.10.026. ISSN 0167-9317.
  24. ^ Feng, Ying; Burkett, Susan L. (2015). "Fabrication and electrical performance of through silicon via interconnects filled with a copper/carbon nanotube composite". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 33 (2). American Vacuum Society: 022004. doi:10.1116/1.4907417. ISSN 2166-2746.
  25. ^ Feng, Ying; Burkett, Susan L. (2015). "Modeling a copper/carbon nanotube composite for applications in electronic packaging". Computational Materials Science. 97. Elsevier BV: 1–5. doi:10.1016/j.commatsci.2014.10.014. ISSN 0927-0256.
  26. ^ Jordan, Matthew B.; Feng, Ying; Burkett, Susan L. (2015). "Development of seed layer for electrodeposition of copper on carbon nanotube bundles". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 33 (2). American Vacuum Society: 021202. doi:10.1116/1.4907164. ISSN 2166-2746.
  27. ^ Menges, Fabian; Riel, Heike; Stemmer, Andreas; Dimitrakopoulos, Christos; Gotsmann, Bernd (14 November 2013). "Thermal Transport into Graphene through Nanoscopic Contacts". Physical Review Letters. 111 (20). American Physical Society (APS): 205901. Bibcode:2013PhRvL.111t5901M. doi:10.1103/physrevlett.111.205901. ISSN 0031-9007. PMID 24289696.
  28. ^ Cahill, David G.; Braun, Paul V.; Chen, Gang; Clarke, David R.; Fan, Shanhui; Goodson, Kenneth E.; Keblinski, Pawel; King, William P.; Mahan, Gerald D.; Majumdar, Arun; Maris, Humphrey J.; Phillpot, Simon R.; Pop, Eric; Shi, Li (2014). "Nanoscale thermal transport. II. 2003–2012". Applied Physics Reviews. 1 (1). AIP Publishing: 011305. Bibcode:2014ApPRv...1a1305C. doi:10.1063/1.4832615. hdl:1721.1/97398. ISSN 1931-9401. S2CID 1493964.
  29. ^ Cahill, David G.; Ford, Wayne K.; Goodson, Kenneth E.; Mahan, Gerald D.; Majumdar, Arun; Maris, Humphrey J.; Merlin, Roberto; Phillpot, Simon R. (15 January 2003). "Nanoscale thermal transport". Journal of Applied Physics. 93 (2). AIP Publishing: 793–818. Bibcode:2003JAP....93..793C. doi:10.1063/1.1524305. hdl:2027.42/70161. ISSN 0021-8979. S2CID 15327316.
  30. ^ Majumdar, A. (1999). "Scanning Thermal Microscopy". Annual Review of Materials Science. 29 (1). Annual Reviews: 505–585. Bibcode:1999AnRMS..29..505M. doi:10.1146/annurev.matsci.29.1.505. ISSN 0084-6600. S2CID 98802503.
  31. ^ Eliseev, Andrey A.; Chernysheva, Marina V.; Verbitskii, Nikolay I.; Kiseleva, Ekaterina A.; Lukashin, Alexey V.; Tretyakov, Yury D.; Kiselev, Nikolay A.; Zhigalina, Olga M.; Zakalyukin, Ruslan M.; Vasiliev, Alexandre L.; Krestinin, Anatoly V.; Hutchison, John L.; Freitag, Bert (10 November 2009). "Chemical Reactions within Single-Walled Carbon Nanotube Channels". Chemistry of Materials. 21 (21). American Chemical Society (ACS): 5001–5003. doi:10.1021/cm803457f. ISSN 0897-4756.
  32. ^ Baloch, Kamal H.; Voskanian, Norvik; Bronsgeest, Merijntje; Cumings, John (8 April 2012). "Remote Joule heating by a carbon nanotube". Nature Nanotechnology. 7 (5). Springer Nature: 316–319. Bibcode:2012NatNa...7..316B. doi:10.1038/nnano.2012.39. ISSN 1748-3387. PMID 22484913.
  33. ^ Menges, Fabian; Mensch, Philipp; Schmid, Heinz; Riel, Heike; Stemmer, Andreas; Gotsmann, Bernd (2016). "Temperature mapping of operating nanoscale devices by scanning probe thermometry". Nature Communications. 7: 10874. Bibcode:2016NatCo...710874M. doi:10.1038/ncomms10874. PMC 4782057. PMID 26936427.
  34. ^ Todri-Sanial, Aida (2014). Investigation of horizontally aligned carbon nanotubes for efficient power delivery in 3D ICs. 18th Workshop on Signal and Power Integrity. IEEE. p. 1-4. doi:10.1109/sapiw.2014.6844535. ISBN 978-1-4799-3599-4.
  35. ^ Naeemi, A.; Sarvari, R.; Meindl, J.D. (2004). Performance comparison between carbon nanotube and copper interconnects for GSI. International Electron Devices Meeting. IEEE. p. 699-702. doi:10.1109/iedm.2004.1419265. ISBN 0-7803-8684-1.
  36. ^ Naeemi, A.; Sarvari, R.; Meindl, J.D. (2005). "Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI)". IEEE Electron Device Letters. 26 (2). Institute of Electrical and Electronics Engineers (IEEE): 84–86. Bibcode:2005IEDL...26...84N. doi:10.1109/led.2004.841440. ISSN 0741-3106. S2CID 17573875.
  37. ^ Naeemi, A.; Meindl, J.D. (2005). "Monolayer metallic nanotube interconnects: promising candidates for short local interconnects". IEEE Electron Device Letters. 26 (8). Institute of Electrical and Electronics Engineers (IEEE): 544–546. Bibcode:2005IEDL...26..544N. doi:10.1109/led.2005.852744. ISSN 0741-3106. S2CID 27109604.
  38. ^ A. Todri-Sanial, J. Dijon, A. Maffucci, "Carbon Nanotube Interconnects: Process, Design and Applications," Springer 2016, ISBN 978-3-319-29744-6
  39. ^ Tsagarakis, M. S.; Xanthakis, J. P. (2017). "Tunneling currents between carbon nanotubes inside the 3-dimensional potential of a dielectric matrix". AIP Advances. 7 (7). AIP Publishing: 075012. Bibcode:2017AIPA....7g5012T. doi:10.1063/1.4990971. ISSN 2158-3226.
  40. ^ Sabelka, R.; Harlander, C.; Selberherr, S. (2000). The state of the art in interconnect simulation. International Conference on Simulation of Semiconductor Processes and Devices. IEEE. p. 6-11. doi:10.1109/sispad.2000.871194. ISBN 0-7803-6279-9.
  41. ^ Zienert, A; Schuster, J; Gessner, T (30 September 2014). "Metallic carbon nanotubes with metal contacts: electronic structure and transport". Nanotechnology. 25 (42). IOP Publishing: 425203. Bibcode:2014Nanot..25P5203Z. doi:10.1088/0957-4484/25/42/425203. ISSN 0957-4484. PMID 25267082. S2CID 5024206.
  42. ^ Takada, Yukihiro; Yamamoto, Takahiro (1 May 2013). "Wave-Packet Dynamics Simulation on Electronic Transport in Carbon Nanotubes with Randomly Distributed Impurities". Japanese Journal of Applied Physics. 52 (6S). IOP Publishing: 06GD07. Bibcode:2013JaJAP..52fGD07T. doi:10.7567/jjap.52.06gd07. ISSN 0021-4922. S2CID 119830263.
  43. ^ Thiagarajan, Kannan; Lindefelt, Ulf (15 June 2012). "High-field electron transport in semiconducting zigzag carbon nanotubes". Nanotechnology. 23 (26). IOP Publishing: 265703. Bibcode:2012Nanot..23z5703T. doi:10.1088/0957-4484/23/26/265703. ISSN 0957-4484. PMID 22699562. S2CID 43038982.
  44. ^ Adessi, C.; Avriller, R.; Blase, X.; Bournel, A.; Cazin d'Honincthun, H.; Dollfus, P.; Frégonèse, S.; Galdin-Retailleau, S.; López-Bezanilla, A.; Maneux, C.; Nha Nguyen, H.; Querlioz, D.; Roche, S.; Triozon, F.; Zimmer, T. (2009). "Multiscale simulation of carbon nanotube devices". Comptes Rendus Physique. 10 (4). Elsevier BV: 305–319. Bibcode:2009CRPhy..10..305A. doi:10.1016/j.crhy.2009.05.004. ISSN 1631-0705.
  45. ^ Yamamoto, Takahiro; Watanabe, Kazuyuki (30 June 2006). "Nonequilibrium Green's Function Approach to Phonon Transport in Defective Carbon Nanotubes". Physical Review Letters. 96 (25): 255503. arXiv:cond-mat/0606112. Bibcode:2006PhRvL..96y5503Y. doi:10.1103/physrevlett.96.255503. ISSN 0031-9007. PMID 16907319. S2CID 6148204.
  46. ^ Lindsay, L.; Broido, D. A.; Mingo, Natalio (11 September 2009). "Lattice thermal conductivity of single-walled carbon nanotubes: Beyond the relaxation time approximation and phonon-phonon scattering selection rules". Physical Review B. 80 (12). American Physical Society (APS): 125407. Bibcode:2009PhRvB..80l5407L. doi:10.1103/physrevb.80.125407. ISSN 1098-0121.