Zen 3

Summary

Zen 3 is the codename for a CPU microarchitecture by AMD, released on November 5, 2020.[1][2] It is the successor to Zen 2 and uses TSMC's 7 nm process for the chiplets and GlobalFoundries's 14 nm process for the I/O die on the server chips and 12 nm for desktop chips.[3] Zen 3 powers Ryzen 5000 mainstream desktop processors (codenamed "Vermeer") and Epyc server processors (codenamed "Milan").[4][5] Zen 3 is supported on motherboards with 500 series chipsets; 400 series boards also saw support on select B450 / X470 motherboards with certain BIOSes.[6] Zen 3 is the last microarchitecture before AMD switched to DDR5 memory and new sockets, which are AM5 for the desktop "Ryzen" chips alongside SP5 and SP6 for the EPYC server platform and sTRX8.[2] According to AMD, Zen 3 has a 19% higher instructions per cycle (IPC) on average than Zen 2.

AMD Zen 3
General information
LaunchedNovember 5, 2020 (November 5, 2020)
Designed byAMD
Common manufacturer(s)
Cache
L1 cache64 KB (per core):
  • 32 KB instructions
  • 32 KB data
L2 cache512 KB (per core)
L3 cache
  • 32 MB (per CCD)
  • 96 MB (per CCD with 3D V-Cache)
  • 16 MB (in APUs)
Architecture and classification
Technology node
Instruction setAMD64 (x86_64)
Physical specifications
Cores
    • Desktop: 4 to 16
    • Workstation: 16 to 64
    • Server: 16 to 64
Package(s)
  • Package FP6
Socket(s)
Products, models, variants
Product code name(s)
  • Desktop
    • Vermeer (w/o iGPU)
    • Cézanne (APU)
    • Chagall

  • Thin & Light Mobile
    • Cézanne
    • Barcelo
    • Barcelo-R

  • High-End Mobile
    Cézanne
  • Server
    • Milan
    • Milan-X

History
Predecessor(s)Zen 2
Successor(s)
Support status
Supported

On April 1, 2022, AMD released the new Ryzen 6000 series for the laptop, using an improved Zen 3+ architecture.[7] On April 20, 2022, AMD also released the Ryzen 7 5800X3D desktop processor, which increases the single threading performance by another 15% in gaming by using, for the first time in a PC product, 3D vertically stacked L3 cache.[8]

Features edit

Zen 3 is a significant incremental improvement over its predecessors, with an IPC increase of 19%,[9] and being capable of reaching higher clock speeds.

Like Zen 2, Zen 3 is composed of up to 2 core complex dies (CCD) along with a separate IO die containing the I/O components. A Zen 3 CCD is composed of a single core complex (CCX) containing 8 CPU cores and 32 MB of shared L3 cache, this is in contrast to Zen 2 where each CCD is composed of 2 CCX, each containing 4 cores each as well as 16 MB of L3 cache. The new configuration allows all 8 cores of the CCX to directly communicate with each other and the L3 Cache instead of having to use the IO die through the Infinity Fabric.[9]

Zen 3 (along with AMD's RDNA2 GPUs) also implemented Resizable BAR, an optional feature introduced in PCIe 2.0, that was branded as Smart Access Memory (SAM). This technology allows CPU to directly access all of compatible video card's VRAM.[10] Intel and Nvidia have since implemented this feature as well.[11]

In Zen 3, a single 32MB L3 cache pool is shared among all 8 cores in a chiplet, vs. Zen 2's two 16MB pools each shared among 4 cores in a core complex, of which there were two per chiplet. This new arrangement improves the cache hit rate as well as performance in situations that require cache data to be exchanged among cores, but increases cache latency from 39 cycles in Zen 2 to 46 clock cycles and halves per-core cache bandwidth, although both problems are partially mitigated by higher clock speeds. Total cache bandwidth on all 8 cores combined remains the same due to power consumption concerns. L2 cache capacity and latency remain the same at 512KB and 12 cycles. All cache read and write operations are done at 32 bytes per cycle.[12]

On April 20, 2022, AMD released the R7 5800X3D. It features, for the first time in a desktop PC product, 3D-stacked vertical L3 cache. Its extra 64 MB on top of the usual 32 MB increases the total amount to 96 MB and brings significant performance improvements for gaming, rivalling contemporary high-end consumer processors while being much more power efficient.[8] It would later be followed by the 5600X3D and 5700X3D for lower-end market segments, and succeeded by the 7000X3D family of Zen 4 processors.

Improvements edit

 
CCD layouts comparison for Zen 2 and Zen 3

Zen 3 has made the following improvements over Zen 2:[12][13]

  • An increase of 19% in instructions per clock
  • The base core chiplet has a single eight-core complex (versus two four-core complexes in Zen 2)
  • A unified 32MB L3 cache pool equally available to all 8 cores in a chiplet, vs Zen 2's two 16MB pools each shared among 4 cores in a core complex.
    • On mobile: A unified 16MB L3
  • A unified 8-core CCX (from 2x 4-core CCX per CCD)
  • Increased branch prediction bandwidth. L1 branch target buffer size increased to 1024 entries (vs 512 in Zen 2)
  • New instructions
  • Improved integer units
    • 96 entry integer scheduler (up from 92)
    • 192 entry physical register file (up from 180)
    • 10 issue per cycle (up from 7)
    • 256 entry reorder-buffer (up from 224)
    • fewer cycles for DIV/IDIV ops (10...20 from 16...46)
  • Improved floating point units
    • 6 µOP dispatch width (up from 4)
    • FMA latency reduced by 1 cycle (down from 5 to 4)
  • Additional 64MB 3D vertically stacked dense library L3 cache (in -X3D models)

Feature tables edit

CPUs edit

APUs edit

APU features table

Products edit

 
AMD Ryzen 7 5800X

On October 8, 2020, AMD announced four Zen 3-based desktop Ryzen processors, consisting of one Ryzen 5, one Ryzen 7, and two Ryzen 9 CPUs and featuring between 6 and 16 cores.[1]

Desktop CPUs edit

The Ryzen 5000 series desktop CPUs are codenamed Vermeer. The models in the second table are based on Cezanne APUs with the integrated GPU disabled. Meanwhile the Ryzen Threadripper Pro 5000 series were codenamed Chagall.

Common features of Ryzen 5000 desktop CPUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB per core (32 KB data + 32 KB instruction).
  • L2 cache: 512 KB per core.
  • All the CPUs support 24 PCIe 4.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • Fabrication process: TSMC 7FF.
Branding and model Cores
(threads)
Thermal
solution
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config[i]
Release
date
MSRP
Base Boost
Ryzen 9 5950X 16 (32) 3.4 4.9 64 MB 105 W 2 × CCD
1 × I/OD
2 × 8 Nov 5, 2020 US $799
5900X 12 (24) 3.7 4.8 2 × 6 US $549
5900 3.0 4.7 65 W Jan 12, 2021 OEM
PRO 5945 Sep 2022[14]
Ryzen 7 5800X3D 8 (16) 3.4 4.5 96 MB 105 W 1 × CCD
1 × I/OD
1 × 8 Apr 20, 2022 US $449
5800X 3.8 4.7 32 MB Nov 5, 2020
5800 3.4 4.6 65 W Jan 12, 2021 OEM
5700X3D 3.0 4.1 96 MB 105 W Jan 31, 2024[15] US $249
5700X 3.4 4.6 32 MB 65 W Apr 4, 2022 US $299
PRO 5845 Sep 2022 OEM
Ryzen 5 5600X3D 6 (12) 3.3 4.4 96 MB 105 W 1 × 6 Jul 7, 2023
US Only[16]
US $229[17]
5600X Wraith Stealth 3.7 4.6 32 MB 65 W Nov 5, 2020 US $299
5600 3.5 4.4 Apr 4, 2022 US $199
PRO 5645 3.7 4.6 Sep 2022 OEM
  1. ^ Core Complexes (CCX) × cores per CCX

5100, 5500, and 5700 have no ECC support like non-Pro Ryzen 5000 Desktop APUs.

Common features of Ryzen 5000 (Cezanne) desktop CPUs:

  • Socket: AM4.
  • CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • CPUs support 24 PCIe 3.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • Fabrication process: TSMC 7FF.
Branding and model Cores
(threads)
Thermal
solution
Clock rate (GHz) L3 cache
(total)
TDP Core
config[i]
Release
date
MSRP
(USD)
Base Boost
Ryzen 7 5700[18] 8 (16) Wraith Stealth 3.7 4.6 16 MB 65 W 1 × 8 Apr 4, 2022 (OEM),
Dec 21, 2023 (retail)
$179
Ryzen 5 5500 6 (12) 3.6 4.2 1 × 6 Apr 4, 2022 $159
Ryzen 3 5100[19][20][21] 4 (8) 3.8 8 MB 1 × 4 2023 OEM
  1. ^ Core Complexes (CCX) × cores per CCX

Common features of Ryzen 5000 workstation CPUs:

  • Socket: sWRX8.
  • All the CPUs support DDR4-3200 in octa-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 128 PCIe 4.0 lanes. 8 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • Fabrication process: TSMC 7FF.
Branding and Model Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config[i]
Release
date
MSRP
Base Boost
Ryzen
Threadripper
PRO
5995WX 64 (128) 2.7 4.5 256 MB 280 W 8 × CCD
1 × I/OD
8 × 8 Mar 8, 2022
(OEM) /
?
(retail)
OEM /
US $6500
5975WX 32 (64) 3.6 128 MB 4 × CCD
1 × I/OD
4 × 8 Mar 8, 2022
(OEM) /
?
(retail)
OEM /
US $3300
5965WX 24 (48) 3.8 4 × 6 Mar 8, 2022
(OEM) /
?
(retail)
OEM /
US $2400
5955WX 16 (32) 4.0 64 MB 2 × CCD
1 × I/OD
2 × 8 Mar 8, 2022 OEM
5945WX 12 (24) 4.1 2 × 6
  1. ^ Core Complexes (CCX) × cores per CCX

Desktop APUs edit

Cezanne edit

Common features of Ryzen 5000 desktop APUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 24 PCIe 3.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • Includes integrated GCN 5th generation GPU.
  • Fabrication process: TSMC 7FF.
Branding and model CPU GPU[a] Thermal
solution
TDP Release
date
MSRP
Cores
(threads)
Clock rate (GHz) L3 cache
(total)
Core
config[i]
Clock
(MHz)
Config[ii] Processing
power[iii]
(GFLOPS)
Base Boost
Ryzen 7 5700G[b] 8 (16) 3.8 4.6 16 MB 1 × 8 2000 512:32:8
8 CU
2048 Wraith Stealth 65 W Apr 13, 2021 (OEM),
Aug 5, 2021 (retail)
US $359
5700GE[b] 3.2 35 W Apr 13, 2021 OEM
Ryzen 5 5600GT 6 (12) 3.6 1 × 6 1900 448:28:8
7 CU
1702.4 65 W Jan 31, 2024[22] US $140
5600G[b] 3.9 4.4 Apr 13, 2021 (OEM),
Aug 5, 2021 (retail)
US $259
5600GE[b] 3.4 35 W Apr 13, 2021 OEM
5500GT 3.6 65 W Jan 31, 2024[22] US $125
Ryzen 3 5300G[b] 4 (8) 4.0 4.2 8 MB 1 × 4 1700 384:24:8
6 CU
1305.6 OEM Apr 13, 2021 OEM
5300GE[b] 3.6 35 W
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Unified shaders : texture mapping units : render output units and compute units (CU)
  3. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. ^ All of the iGPUs are branded as AMD Radeon Graphics.
  2. ^ a b c d e f Model also available as PRO version as 5350GE,[23] 5350G,[24] 5650GE,[25] 5650G,[26] 5750GE,[27] 5750G,[28] released June 1, 2021.[29]

Mobile APUs edit

Cezanne edit

Branding and Model CPU GPU TDP Release
date
Cores
(Threads)
Clock rate (GHz) L3 cache
(total)
Core
config[i]
Model Clock
(GHz)
Config[ii] Processing
power
(GFLOPS)[iii]
Base Boost
Ryzen 9 5980HX[30] 8 (16) 3.3 4.8 16 MB 1 × 8 Radeon
Graphics
[a]
2.1 512:32:8
8 CUs
2150.4 35–54 W Jan 12, 2021
5980HS[31] 3.0 35 W
5900HX[32] 3.3 4.6 35–54 W
5900HS[33] 3.0 35 W
Ryzen 7 5800H[34][35] 3.2 4.4 2.0 2048 35–54 W
5800HS[36] 2.8 35 W
5800U[note 1][37] 1.9 10–25 W
Ryzen 5 5600H[38][39] 6 (12) 3.3 4.2 1 × 6 1.8 448:28:8
7 CUs
1612.8 35–54 W
5600HS[40] 3.0 35 W
5600U[note 1][41] 2.3 10–25 W
5560U[42] 4.0 8 MB 1.6 384:24:8
6 CUs
1228.8
Ryzen 3 5400U[note 1][43][44] 4 (8) 2.7 4.1 1 × 4
  1. ^ All of the iGPUs are branded as AMD Radeon Graphics.
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Unified shaders : texture mapping units : render output units and compute units (CU)
  3. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. ^ a b c d Model also available as PRO version as 5450U,[45] 5650U,[46] 5850U,[47] released on March 16, 2021.

Barceló edit

Branding and model CPU GPU TDP Release
date
Cores
(Threads)
Clock rate (GHz) L3 cache
(total)
Core
config[i]
Model Clock
(GHz)
Config[ii] Processing
power
(GFLOPS)[iii]
Base Boost
Ryzen 7 5825U[note 1][note 2][48] 8 (16) 2.0 4.5 16 MB 1 × 8 Radeon
Graphics[a]
2.0 512:32:8
8 CUs
2048 15 W Jan 4, 2022
Ryzen 5 5625U[note 1][note 2][49] 6 (12) 2.3 4.3 1 × 6 1.8 448:28:8
7 CUs
1612.8
Ryzen 3 5125C[50] 2 (4) 3.0 8 MB 1 × 2 ? 192:12:8
3 CU
? May 5, 2022
  1. ^ All of the iGPUs are branded as AMD Radeon Graphics.
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Unified shaders : texture mapping units : render output units and compute units (CU)
  3. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. ^ a b c Model also available as Pro version as 5475U,[51] 5675U,[52] 5875U,[53] released on April 19, 2022.
  2. ^ a b c Model also available as Chromebook optimized version as 5425C,[54] 5625C,[55] 5825C,[56] released on May 5, 2022.

Barceló-R edit

Common features of Ryzen 7030 notebook APUs:

Branding and Model CPU GPU TDP Release
date
Cores
(threads)
Clock rate (GHz) L3 cache
(total)
Core
config[a]
Model Clock
(GHz)
Processing
power[b]
(GFLOPS)
Base Boost
Ryzen 7 (PRO) 7730U 8 (16) 2.0 4.5 16 MB 1 × 8 Vega
8 CU
2.0 2048 15 W January 4, 2023
[57]
Ryzen 5 (PRO) 7530U 6 (12) 1 × 6 Vega
7 CU
1792
Ryzen 3 (PRO) 7330U 4 (8) 2.3 4.3 8 MB 1 × 4 Vega
6 CU
1.8 1382.4
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Embedded CPUs edit

Model Release
date
Fab CPU Socket PCIe
support
Memory
support
TDP
Cores
(threads)
Clock rate (GHz) Cache
Base Boost L1 L2 L3
V3C14[58][59] September 27, 2022[60] TSMC
7FF
4 (8) 2.3 3.8 32 KB inst.
32 KB data
per core
512 KB
per core
8 MB FP7r2 20
(8+4+4+4)
PCIe 4.0
DDR5-4800
dual-channel
15 W
V3C44[58][59] 3.5 3.8 45 W
V3C16[58][59] 6 (12) 2.0 3.8 16 MB 15 W
V3C18I[58][59] 8 (16) 1.9 3.8 15 W
V3C48[58][59] 3.3 3.8 45 W

Server CPUs edit

The Epyc server line of chips based on Zen 3 is named Milan and is the final generation of chips using the SP3 socket.[5] Epyc Milan was released on March 15, 2021.[61]

Model Price
(USD)
Fab Chiplets Cores
(threads)
Core
config[i]
Clock rate (GHz) Cache Socket
&
Scaling
TDP
Base Boost L1 L2 L3
7773X $8800 TSMC
7FF
8 × CCD
1 × I/OD
64 (128) 8 × 8 2.20 3.50 32 KB inst.
32 KB data
(per core)
512 KB
(per core)
768 MB
(96 MB per CCX)
SP3
(up to) 2P
280 W
7763 $7890 2.45 3.40 256 MB
32 MB per CCX
SP3
(up to) 2P
280 W
7713 $7060 2.00 3.675 225 W
7713P $5010 SP3
1P
7663 $6366 56 (112) 8 × 7 2.00 3.50 SP3
(up to) 2P
240 W
7663P $3139 SP3
1P
7643 $4995 48 (96) 8 × 6 2.30 3.60 SP3
(up to) 2P
225 W
7643P $2722 SP3
1P
7573X $5590 32 (64) 8 × 4 2.80 3.60 768 MB
(96 MB per CCX)
SP3
(up to) 2P
280 W
75F3 $4860 2.95 4.00 256 MB
(32 MB per CCX)
7543 $3761 2.80 3.70 225 W
7543P $2730 256 MB
(32 MB per CCX)
SP3
1P
7513 $2840 2.60 3.65 128 MB
(16 MB per CCX)
SP3
(up to) 2P
200 W
7453 $1570 4 × CCD
1 × I/OD
28 (56) 4 × 7 2.75 3.45 64 MB
(16 MB per CCX)
225 W
7473X $3900 8 × CCD
1 × I/OD
24 (48) 8 × 3 2.80 3.70 768 MB
(96 MB per CCX)
240 W
74F3 $2900 3.20 4.00 256 MB
(32 MB per CCX)
7443 $2010 4 × CCD
1 × I/OD
4 × 6 2.85 4.00 128 MB
(32 MB per CCX)
200 W
7443P $1337 SP3
1P
7413 $1825 2.65 3.60 SP3
(up to) 2P
180 W
7373X $4185 8 × CCD
1 × I/OD
16 (32) 8 × 2 3.05 3.80 768 MB
(96 MB per CCX)
240 W
73F3 $3521 3.50 4.00 256 MB
(32 MB per CCX)
7343 $1565 4 × CCD
1 × I/OD
4 × 4 3.20 3.90 128 MB
(32 MB per CCX)
190 W
7313 $1083 3.00 3.70 155 W
7313P $913 SP3
1P
7303 $604 2 × CCD
1 × I/OD
2 x 8 2.40 3.40 64 MB
(32 MB per CCX)
SP3
(up to) 2P
130 W
7303P $594 SP3
1P
72F3 $2468 8 × CCD
1 × I/OD
8 (16) 8 × 1 3.70 4.10 256 MB
(32 MB per CCX)
SP3
(up to) 2P
180 W
7203 $348 2 × CCD
1 × I/OD
2 x 4 2.80 3.40 64 MB
(32 MB per CCX)
120 W
7203P $338 SP3

1P

  1. ^ Core Complexes (CCX) × cores per CCX

Zen 3+ edit

AMD Zen 3+
General information
LaunchedApril 1, 2022; 2 years ago (April 1, 2022)
Designed byAMD
Common manufacturer(s)
Cache
L1 cache64 KB (per core)
L2 cache512 KB (per core)
L3 cacheUp to 16 MB
Architecture and classification
Technology nodeTSMC N6
Instruction setAMD64 (x86_64)
Physical specifications
Cores
  • 4 to 8
Package(s)
    • Package FP7
    • Package FP7r2
Product code name(s)
  • Thin & Light Mobile
    • Rembrandt
    • Rembrandt-R

  • High-End Mobile
    • Rembrandt
    • Rembrandt-R

History
Predecessor(s)Zen 3
Successor(s)Zen 4
Support status
Supported

Zen 3+ is the codename for a refresh of the Zen 3 microarchitecture, which focuses on power efficiency improvements. It was released in April 2022 with the Ryzen 6000 series of mobile processors.

Features and improvements edit

Zen 3+ has 50 new or enhanced power management features over Zen 3, and also provides an adaptive power management framework, as well as new deep sleep states. Altogether, this brings improvements to efficiency both during idle, and when under load, with up to 30% performance-per-watt increase over Zen 3, as well as longer battery life.[62][63]

IPC is identical to that of Zen 3; the performance improvements of Ryzen 6000 over Ryzen 5000 mobile processors stem from it having a higher efficiency (hence more performance in power-constrained form factors like laptops), as well as the increased clock speeds from being built on the smaller TSMC N6 node.[64]

The Rembrandt implementation of Zen 3+ also has support for DDR5 and LPDDR5 memory.

Products edit

Rembrandt edit

On April 1, 2022, AMD released the Ryzen 6000 series of mobile APUs, codenamed Rembrandt. It introduces PCIe 4.0 and DDR5/LPDDR5 for the first time in an APU for the laptop and also introduced RDNA2 integrated graphics to the PC. It is built on TSMC's 6 nm node.[7]

Common features of Ryzen 6000 notebook APUs:

  • Socket: FP7, FP7r2.
  • All the CPUs support DDR5-4800 or LPDDR5-6400 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 16 PCIe 4.0 lanes.
  • Includes integrated RDNA 2 GPU.
  • Fabrication process: TSMC 6 nm FinFET.
Branding and model CPU GPU TDP Release
date
Cores
(threads)
Clock (GHz) L3 cache
(total)
Core
config[i]
Model Clock
(GHz)
Config[ii] Processing
power
(GFLOPS)[iii]
Base Boost
Ryzen 9 6980HX 8 (16) 3.3 5.0 16 MB 1 × 8 680M 2.4 768:48:8
12 CUs
3686.4 45 W Jan 4, 2022
[65]
6980HS 35 W
6900HX[a] 4.9 45 W
6900HS[a] 35 W
Ryzen 7 6800H[a] 3.2 4.7 2.2 3379.2 45 W
6800HS[a] 35 W
6800U[a] 2.7 15–28 W
Ryzen 5 6600H[a] 6 (12) 3.3 4.5 1 × 6 660M 1.9 384:24:8
6 CUs
1459.2 45 W
6600HS[a] 35 W
6600U[a] 2.9 15–28 W
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Unified shaders : texture mapping units : render output units and compute units (CU)
  3. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. ^ a b c d e f g h Model also available as PRO version (6650U[66], 6650H[67], 6650HS[68], 6850U[69], 6850H[70], 6850HS[71], 6950H[72], 6950HS[73]), released on April 19, 2022.

Rembrandt-R edit

Rembrandt-R is the codename for a refresh of Rembrandt codenamed processors, released as the Ryzen 7035 series of mobile APUs in January 2023.

Common features of Ryzen 7035 notebook APUs:

  • Socket: FP7, FP7r2.
  • All the CPUs support DDR5-4800 or LPDDR5-6400 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 16 PCIe 4.0 lanes.
  • Includes integrated RDNA 2 GPU.
  • Fabrication process: TSMC 6 nm FinFET.
Branding and model CPU GPU TDP Release
date[74]
Cores
(threads)
Clock (GHz) L3 cache
(total)
Core
config[a]
Model Clock
(GHz)
Processing
power[b]
(GFLOPS)
Base Boost
Ryzen 7 7735HS 8 (16) 3.2 4.75 16 MB 1 × 8 680M
12 CU
2.2 3379.2 35–54 W April 30, 2023
7735H
7736U 2.7 4.7 15–28 W January 4, 2023
7735U 4.75 15–30 W
7435HS 3.1 4.5 35–54 W 2024[75]
7435H
Ryzen 5 7535HS 6 (12) 3.3 4.55 1 × 6 660M
6 CU
1.9 1459.2 April 30, 2023
7535H
7535U 2.9 15–30 W January 4, 2023
7235HS 4 (8) 3.2 4.2 8 MB 1 × 4 35–53 W 2024[76]
7235H
Ryzen 3 7335U 3.0 4.3 660M
4 CU
1.8 921.6 15–30 W January 4, 2023
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

References edit

  1. ^ a b AMD (October 8, 2020). Where Gaming Begins, AMD Ryzen™ Desktop Processors. YouTube. Retrieved November 13, 2022.
  2. ^ a b Hruska, Joel (January 10, 2020). "AMD's Lisa Su Confirms Zen 3 Coming in 2020, Talks Challenges in Notebooks". ExtremeTech. Retrieved November 13, 2022.
  3. ^ Cutress, Ian (October 9, 2020). "AMD Ryzen 5000 and Zen 3 on Nov 5th: +19% IPC, Claims Best Gaming CPU". AnandTech. Retrieved November 13, 2022.
  4. ^ Knapp, Mark; Hanson, Matt (October 8, 2020). "AMD Zen 3 release date, specs and price: everything we know about AMD Ryzen 5000". TechRadar. Retrieved November 13, 2022.
  5. ^ a b Alcorn, Paul (October 5, 2019). "AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap". Tom's Hardware. Retrieved October 5, 2019.
  6. ^ Hruska, Joel (May 20, 2020). "AMD Will Support Zen 3, Ryzen 4000 CPUs on X470, B450 Motherboards". ExtremeTech. Retrieved May 20, 2020.
  7. ^ a b "AMD Unveils New Ryzen Mobile Processors Uniting "Zen 3+" core with AMD RDNA 2 Graphics in Powerhouse Design". AMD (Press release). Santa Clara, CA. January 4, 2022. Retrieved May 27, 2022.
  8. ^ a b "AMD Launches the Ultimate Gaming Processor, Brings Enthusiast Performance to an Expanded Lineup of Ryzen Desktop Processors". AMD (Press release). Santa Clara, CA. March 15, 2021. Retrieved November 13, 2022.
  9. ^ a b "AMD "Zen 3" Core Architecture". AMD. Retrieved April 19, 2024.
  10. ^ Alcorn, Paul (November 6, 2020). "AMD Zen 3 Ryzen 5000 Price, Specs, Release Date, Performance, All We Know". Tom's Hardware. Retrieved November 8, 2020.
  11. ^ "GeForce RTX 30 Series Performance Accelerates With Resizable BAR Support | GeForce News". NVIDIA. Retrieved August 13, 2021.
  12. ^ a b Cutress, Ian; Frumusanu, Andrei (November 5, 2020). "AMD Zen 3 Ryzen Deep Dive Review: 5950X, 5900X, 5800X and 5600X Tested". AnandTech. Retrieved December 7, 2020.
  13. ^ Alcorn, Paul (November 26, 2020). "AMD Ryzen 9 5950X and 5900X Review: Zen 3 Breaks the 5 GHz Barrier". Tom's Hardware. Retrieved December 25, 2020.
  14. ^ Shvets, Gennadiy (September 23, 2022). "New AMD Ryzen PRO processors released". CPU-World. Retrieved June 30, 2023.
  15. ^ Wallossek, Igor (January 8, 2024). "CES: And it goes on - even more Ryzen 5000 CPUs for the AM4 socket". igor´sLAB. Retrieved January 9, 2024.
  16. ^ Ganti, Anil (July 1, 2023). "AMD Ryzen 5 5600X3D price and availability officially confirmed". NotebookCheck.net. Retrieved July 1, 2023.
  17. ^ Alcorn, Paul (June 30, 2023). "AMD Ryzen 5 5600X3D to Launch July 7th for $229 at Micro Center Only". Tom's Hardware. Retrieved June 30, 2023.
  18. ^ Liu, Zhiye (June 30, 2022). "AMD's Ryzen 7 5700 Emerges Without Radeon Vega iGPU". Tom's Hardware.
  19. ^ Bonshor, Gavin (July 12, 2023). "AMD Quietly Introduces Ryzen 3 5100 Quad-Core Processor For AM4". AnandTech. Retrieved July 12, 2023.
  20. ^ Liu, Zhiye (July 4, 2023). "Ryzen 3 5100 Budget CPU Could Excel On The Retail Market". Tom's Hardware. Retrieved January 15, 2024.
  21. ^ Mujtaba, Mujtaba (July 5, 2023). "AMD Ryzen 7 5700 8 Core & Ryzen 3 5100 4 Core Budget CPUs For AM4 Platform Confirmed". Wccftech. Retrieved January 15, 2024.
  22. ^ a b Wallossek, Igor (January 8, 2024). "CES: And it goes on - even more Ryzen 5000 CPUs for the AM4 socket". igor´sLAB. Retrieved January 9, 2024.
  23. ^ "AMD Ryzen 3 PRO 5350GE". AMD.
  24. ^ "AMD Ryzen 3 PRO 5350G". AMD.
  25. ^ "AMD Ryzen 5 PRO 5650GE". AMD.
  26. ^ "AMD Ryzen 5 PRO 5650G". AMD.
  27. ^ "AMD Ryzen 7 PRO 5750GE". AMD.
  28. ^ "AMD Ryzen 7 PRO 5750G". AMD.
  29. ^ btarunr (June 1, 2021). "AMD Announces Ryzen 5000G and PRO 5000G Desktop Processors". TechPowerUp.
  30. ^ "AMD Ryzen 9 5980HX". AMD.
  31. ^ "AMD Ryzen 9 5980HS". AMD.
  32. ^ "AMD Ryzen 9 5900HX". AMD.
  33. ^ "AMD Ryzen 9 5900HS". AMD.
  34. ^ "AMD Ryzen 7 5800H". AMD.
  35. ^ "AMD Ryzen 7 5800H Specs". TechPowerUp. Retrieved September 17, 2021.
  36. ^ "AMD Ryzen 7 5800HS". AMD.
  37. ^ "AMD Ryzen 7 5800U". AMD.
  38. ^ "AMD Ryzen 5 5600H". AMD.
  39. ^ "AMD Ryzen 5 5600H Mobile processor - 100-000000296". CPU-World. Retrieved September 17, 2021.
  40. ^ "AMD Ryzen 5 5600HS". AMD.
  41. ^ "AMD Ryzen 5 5600U". AMD.
  42. ^ "AMD Ryzen 5 5560U". AMD.
  43. ^ "AMD Ryzen 3 5400U". AMD.
  44. ^ "AMD Ryzen 3 5400U Mobile processor - 100-000000288". CPU-World. Retrieved September 17, 2021.
  45. ^ "AMD Ryzen 3 PRO 5450U". AMD.
  46. ^ "AMD Ryzen 5 PRO 5650U". AMD.
  47. ^ "AMD Ryzen 7 PRO 5850U". AMD.
  48. ^ "AMD Ryzen 7 5825U". AMD.
  49. ^ "AMD Ryzen 5 5625U". AMD.
  50. ^ "AMD Ryzen 3 5125C". AMD.
  51. ^ "AMD Ryzen 3 PRO 5475U". AMD.
  52. ^ "AMD Ryzen 5 PRO 5675U". AMD.
  53. ^ "AMD Ryzen 7 PRO 5875U". AMD.
  54. ^ "AMD Ryzen 3 5425C". AMD.
  55. ^ "AMD Ryzen 5 5625C". AMD.
  56. ^ "AMD Ryzen 7 5825C". AMD.
  57. ^ "AMD Extends its Leadership with the Introduction of its Broadest Portfolio of High-Performance PC Products for Mobile and Desktop". AMD.
  58. ^ a b c d e "Embedded Processor Specifications". AMD.
  59. ^ a b c d e "Product Brief: AMD Ryzen Embedded V3000 Processor Family" (PDF). AMD.
  60. ^ "AMD Launches Ryzen Embedded V3000 Series Processors Delivering New Levels of Performance and Power Efficiency for "Always-On" Storage and Networking". AMD.
  61. ^ Alcorn, Paul (March 15, 2021). "Watch AMD's EPYC 7003 Milan Launch Here". Tom's Hardware. Retrieved July 23, 2021.
  62. ^ Polanco, Tony (June 23, 2022). "AMD Ryzen 6000 specs, performance and everything we know". Tom's Guide. Retrieved April 24, 2023.
  63. ^ "AMD Zen3+ Architecture and Ryzen 6000 "Rembrandt" Mobile Processors Detailed". TechPowerUp. February 18, 2022. Retrieved April 24, 2023.
  64. ^ Schiesser, Tim (February 17, 2022). "AMD launches Ryzen 6000 series for laptops: What's new with the Zen 3+ architecture?". TechSpot. Retrieved April 24, 2023.
  65. ^ "AMD Unveils New Ryzen Mobile Processors Uniting "Zen 3+" core with AMD RDNA 2 Graphics in Powerhouse Design". AMD.
  66. ^ "AMD Ryzen 5 PRO 6650U". AMD.
  67. ^ "AMD Ryzen 5 PRO 6650H". AMD.
  68. ^ "AMD Ryzen 5 PRO 6650HS". AMD.
  69. ^ "AMD Ryzen 7 PRO 6850U". AMD.
  70. ^ "AMD Ryzen 7 PRO 6850H". AMD.
  71. ^ "AMD Ryzen 7 PRO 6850HS". AMD.
  72. ^ "AMD Ryzen 9 PRO 6950H". AMD.
  73. ^ "AMD Ryzen 9 PRO 6950HS". AMD.
  74. ^ "AMD Extends its Leadership with the Introduction of its Broadest Portfolio of High-Performance PC Products for Mobile and Desktop". AMD. January 4, 2023.
  75. ^ "AMD launches Ryzen 5 7235H and Ryzen 7 7435H APUs with Zen3+ cores and iGPU disabled". Videocardz. April 1, 2024. Retrieved April 1, 2024.
  76. ^ Zuhair, Muhammad (March 31, 2024). "AMD Silently Lists Two New Ryzen 5 7235H & 7235HS "Zen 3+" APUs For Notebook & Desktop PCs". Wccftech. Retrieved March 31, 2024.